Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(117)

Side by Side Diff: tests/lib/i18n/bidi_format_test.dart

Issue 10836241: Move unittest from lib to pkg. (Closed) Base URL: http://dart.googlecode.com/svn/branches/bleeding_edge/dart/
Patch Set: Created 8 years, 4 months ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch | Annotate | Revision Log
« no previous file with comments | « tests/lib/args/args_test.dart ('k') | tests/lib/i18n/bidi_utils_test.dart » ('j') | no next file with comments »
Toggle Intra-line Diffs ('i') | Expand Comments ('e') | Collapse Comments ('c') | Show Comments Hide Comments ('s')
OLDNEW
1 // Copyright (c) 2012, the Dart project authors. Please see the AUTHORS file 1 // Copyright (c) 2012, the Dart project authors. Please see the AUTHORS file
2 // for details. All rights reserved. Use of this source code is governed by a 2 // for details. All rights reserved. Use of this source code is governed by a
3 // BSD-style license that can be found in the LICENSE file. 3 // BSD-style license that can be found in the LICENSE file.
4 4
5 5
6 #library('bidi_format_test'); 6 #library('bidi_format_test');
7 7
8 #import('../../../pkg/i18n/intl.dart'); 8 #import('../../../pkg/i18n/intl.dart');
9 #import('../../../lib/unittest/unittest.dart'); 9 #import('../../../pkg/unittest/unittest.dart');
10 10
11 /** 11 /**
12 * Tests the bidirectional text formatting library. 12 * Tests the bidirectional text formatting library.
13 */ 13 */
14 main() { 14 main() {
15 var LTR = TextDirection.LTR; 15 var LTR = TextDirection.LTR;
16 var RTL = TextDirection.RTL; 16 var RTL = TextDirection.RTL;
17 var UNKNOWN = TextDirection.UNKNOWN; 17 var UNKNOWN = TextDirection.UNKNOWN;
18 var he = '\u05e0\u05e1'; 18 var he = '\u05e0\u05e1';
19 var en = 'abba'; 19 var en = 'abba';
(...skipping 182 matching lines...) Expand 10 before | Expand all | Expand 10 after
202 expect(rtlFmt.wrapWithUnicode(he, direction : TextDirection.LTR), 202 expect(rtlFmt.wrapWithUnicode(he, direction : TextDirection.LTR),
203 equals('$LRE$he$PDF$RLM')); 203 equals('$LRE$he$PDF$RLM'));
204 // Test supposed overall dir (LTR) doesn't match context dir (unknown). 204 // Test supposed overall dir (LTR) doesn't match context dir (unknown).
205 expect(unkFmt.wrapWithUnicode(he, direction : TextDirection.LTR), 205 expect(unkFmt.wrapWithUnicode(he, direction : TextDirection.LTR),
206 equals('$LRE$he$PDF')); 206 equals('$LRE$he$PDF'));
207 // Test supposed overall dir (neutral) doesn't match context dir (LTR). 207 // Test supposed overall dir (neutral) doesn't match context dir (LTR).
208 expect(ltrFmt.wrapWithUnicode(he, direction : TextDirection.UNKNOWN), 208 expect(ltrFmt.wrapWithUnicode(he, direction : TextDirection.UNKNOWN),
209 equals('$he$LRM')); 209 equals('$he$LRM'));
210 }); 210 });
211 } 211 }
OLDNEW
« no previous file with comments | « tests/lib/args/args_test.dart ('k') | tests/lib/i18n/bidi_utils_test.dart » ('j') | no next file with comments »

Powered by Google App Engine
This is Rietveld 408576698